朋友圈

400-850-8622

全国统一学习专线 9:00-21:00

位置:餐饮培训资讯 > 小吃培训资讯 > 广州番禺区FPGA培训班

广州番禺区FPGA培训班

日期:2023-05-30 18:43:45     浏览:49    
课程背景

本培训课程主要帮助学员进行 CPLD/FPGA 的系统学习,以工程实践为例,深入探讨目前业界*、*的器件,讲授业界*秀的集成环境,最简洁的开发流程,和业界*的软处理技术。每次课程都配有相关实验,实验可以在ALTERA和XILINX两个公司的FPGA硬件平台上进行,培训学员可以根据自身情况选择开发环境。通过实验,学员可以更好的理解消化课堂知识,工程实践水平会得到迅速提高

主要培训对象

FPGA系统的软件和硬件开发工程师,电子类专业的*生和研究生 、电子硬件工程师等

教学重点

重点讲述如何用VERILOG HDL硬件描述语言,在ALTERA的集成开发环境QUARTUSⅡ下,以合理的逻辑资源描述出一个健壮性强的硬件电路。本课程通过理论与多个实验的结合,让学员能够充分理解与掌握CPLD/FPGA在实际工作中的应用。

教学目标

培养学员熟练掌握和使用基于CPLD/FPGA的数字系统开发工具、开发流程(ALTERA和XILINX可选),能够独立解决开发中常见问题,能够自主进行成熟的基于CPLD/FPGA的数字系统设计。

任课教师

【 王健强老师 】
● 博士,先后毕业于长江*、桂林电子科技*、北京航空航天*电子、计算机、嵌入式专业。曾先后在国内*大中专院校及大型电子公司担任电子技术教师及单片机、嵌入式培训师,有丰富的职业教学经验。 曾先后在国际国内*企业如山水音响,乐声集团,好帮手车载,迪士谱广播等任单片机开发工程师/项目工程师/技术部经理等职,有着近二十年的实际产品开发经验及培训经验。

【袁老师】
● 近十年电子产品软硬件开发经验,其中单片机开发经验6年,FPGA开发经验4年,DSP开发经验3年.开发管理经验3年. 现就职于广州某大型高科技开发公司担任项目工程师。

教材


◆《Verilog数字系统设计教程》
◆《CPLD/FPGA可编程逻辑多媒体教程》 (附送)
课程进度安排 (注:可根据学员需要,针对性设计课程,以便在实际工作应用)
课 程 大 纲
课 程 内 容

EDA技术简介以及CPLD/FPGA 基础知识,QuartusII软件入门
*天
CPLD/FPGA技术的发展历史阶段和代表技术
CPLD/FPGA 技术概念和发展现状
单片机,CPLD/FPGA,DSP的区别
与CPLD/FPGA设计相关数字电路基础知识复习
cpld/fpga设计中几个基本概念
使用quartusⅡ5.1设计数字电路的基本流程
CPLD/FPGA 典型应用领域一:替代传统数字电路
CPLD/FPGA 典型应用领域二:接口控制器
CPLD/FPGA 典型应用领域三:数字信号处理
上机实践(可编程逻辑器件集成开发环境quartusⅡ5.1软件使用实验)
第二天
FPGA 的设计流程和设计方法简介,包括原理图、波形图、状态转换图及各种硬件描述语言简介
CPLD与FPGA的区别和各自的应用领域
ALTERA公司FPGA的特点以及当前流行的FPGA产品介绍
单点流水灯VERILOG HDL设计代码讲解
单片机通过CPLD扩展外部IO口设计讲解
上机实践(单点流水灯实验)
第三天
CPLD/FPGA 的下载及内部测试的配置与方法
几种硬件描述语言的比较
Cpld/fpga数字电路设计经验
FPGA设计规范
详细介绍QuartusII软件环境和使用方法
上机实践(多点流水灯实验)
第四天
硬件描述语言(Verilog HDL / VHDL)基本语法和实践
VHDL 和Verilog HDL的各自特点和应用范围
Verilog HDL的抽象级别
Verilog HDL的几个基本概念
Verilog HDL基本结构语言要素与语法规则
如果设计可靠的组合逻辑电路以避免毛刺的产生
ALTERA公司芯片如何处理内部三态电路
典型的Verilog HDL代码分析 1
典型的Verilog HDL代码分析 2
上机实践(用原理图设计按键开关灯实验)
第五天
Verilog HDL 里面的Reg 和 Wire类型定义的用法和区别
Verilog HDL 里面的阻塞和非阻塞赋值的用法和区别
Verilog HDL 和C语言的联系和区别
Verilog HDL 里面的系统任务和函数的调用方法
Verilog HDL 里面最常用的两个语句IF和CASE的使用方法和注意事项
Verilog HDL组合逻辑语句结构和设计要点
Verilog HDL时序逻辑语句结构和设计要点
Verilog HDL 程序设计中需要注意的问题
典型电路设计实例,如双向电路及三态控制电路设计
上机实践(用原理图设计时钟实验)
第六天
FPGA设计进阶及工程设计中应该注意的问题

设计输入方法(原理图,波形图,状态转换图 ,HDL 语言, EDIF , LPM ,IP Core)

Verilog HDL 里面的任务(TASK) 和函数(FUNCTIONG)的联系和区别

有限状态机的设计原理及其代码风格
Verilog HDL 里面可综合的代码风格
上机实践(用verilog HDL语言设计时钟实验)
第七天
逻辑综合的原则,可综合的代码设计风格,设计优化和设计方法如:速度优化与面积优化
功能仿真与时序仿真的区别和适用条件
结构综合和布局布线约束规则
综合报告的查看技巧
LogicLock(逻辑锁定)技术
Signaltap在线逻辑分析仪调试技术
HDL代码设计的仿真和调试技巧
FPGA硬件系统设计注意事项
12位串行输入D/A转换器DAC7513设计实例
上机实践(12位D/A转换器DAC7513实验)
第八天
FPGA工程设计实例和可编程逻辑设计指导原则以及FPGA最小系统设计方法
16位串行输入D/A转换器DAC7734设计实例
C51单片机与FPGA并行通信设计实例。
可编程逻辑设计指导原则
FPGA最小系统概念以及硬件系统的构成,包括:FPGA主芯片电路设计,JTAG 下载与调试接口,异步SRAM存储器接口电路设计,FLASH存储器接口电路设计,其他外围电路设计,电源,时钟和复位电路设计
FPGA最小系统的调试方法和技巧
利用最小系统构建复杂系统的方法
上机实践(单片机与FPGA并行通信实验)

课程咨询热线:020-38288137、020-38288091 QQ:987723821

更多课程信息可点击【*单片机培训】

如果本页不是您要找的课程,您也可以百度查找一下: